获取资料

E课网 数字IC验证工程师核心就业班

E课网 数字IC验证工程师核心就业班

E课网 数字IC验证

IC验证-SV

| 文本资料

| | system verilog for verification 3rd source code.rar 32.13kb

| | SystemVerilog for Verification 3rd Edition.rar 7.31M

| 任务10:SV_09_threads_IPC.wmv 106.17M

| 任务11:SV_10_assertion_summary.wmv 234.19M

| 任务12:SV_11_sva_sequence.wmv 144.12M

| 任务13:SV_13_coverage.wmv 136.79M

| 任务1:SV_01_course_overview.wmv 130.31M

| 任务2:SV_02_verification_environment.wmv 118.07M

| 任务3:SV_03_interface_program.wmv 238.41M

| 任务4:SV_04_Data_types_01.wmv 143.53M

| 任务5:SV_04_Data_types_02.wmv 140.15M

| 任务6:SV_05_program_basics.wmv 109.73M

| 任务7-1:SV_06_OOP.wmv 91.59M

| 任务7:SV_06_OOP.wmv 142.96M

| 任务8:SV_07_Randomization.wmv 152.12M

| 任务9:SV_08_fork_and_communication.wmv 148.96M

questasim

| RoMQuSi106c_x64.rar 850.81M

| RoMQuSi106c_x86.rar 844.58M

SV练习

| lec1

| | data_type.sv 2.07kb

| | interface_type.sv 2.02kb

| | string_type.sv 1.04kb

| | sv_for_design.sv 2.46kb

| lec2

| | array_type.sv 3.57kb

| | class_encapsulation.sv 2.25kb

| | class_inheritance.sv 2.22kb

| | package_usage.sv 0.97kb

| lec3

| | constrained_random.sv 2.58kb

| | interprocess_sync.sv 2.72kb

| | task_and_function.sv 2.63kb

| | thread_control.sv 2.72kb

| | virtual_methods.sv 1.75kb

SV实验

| FAQ

| | FAQ_MCDT.docx 31.79kb

| lab0

| | tb1.v 2.68kb

| lab1

| | tb1.v 2.67kb

| | tb2.sv 2.85kb

| | tb2_ref.sv 2.88kb

| | tb3.sv 2.15kb

| | tb3_ref.sv 2.52kb

| | tb4.sv 3.10kb

| | tb4_ref.sv 3.29kb

| lab2

| | breakpoint.sv 0.50kb

| | race.sv 0.44kb

| | simstart.sv 0.40kb

| | tb1.sv 3.79kb

| | tb1_ref.sv 4.01kb

| | tb2.sv 4.52kb

| | tb2_ref.sv 7.45kb

| | tb3.sv 4.88kb

| | tb3_ref.sv 7.69kb

| | tb4.sv 6.26kb

| | tb4_ref.sv 8.66kb

| lab3

| | chnl_pkg1.sv 6.91kb

| | chnl_pkg2.sv 8.34kb

| | chnl_pkg3.sv 11.81kb

| | chnl_pkg3_ref.sv 16.43kb

| | tb1.sv 1.68kb

| | tb2.sv 2.54kb

| | tb3.sv 2.73kb

| | tb3_ref.sv 2.74kb

| lab4

| | arb_pkg.sv 0.28kb

| | chnl_pkg.sv 6.62kb

| | fmt_pkg.sv 8.15kb

| | mcdf_pkg.sv 11.99kb

| | rpt_pkg.sv 1.62kb

| | tb.sv 4.05kb

| lab5

| | chnl_pkg.sv 6.63kb

| | mcdf_pkg.sv 28.19kb

| | reg_pkg.sv 6.45kb

| | tb.sv 5.29kb

| Makefile

| | lab0

| | lab1

| | lab2

| | lab3

| | lab4

| | lab5

| MCDF设计

| | v0

| MCDT设计

| | v0

| | v1

| 实验0.pdf 325.14kb

| 实验1.pdf 184.74kb

| 实验2.pdf 178.37kb

| 实验3.pdf 284.30kb

| 实验4.pdf 376.98kb

UVM入门进阶实验

| uvm_basic_labs

| | lab0

| | lab1

| | lab2

| | lab3

| | lab4

| | lab5

| | mcdf

UVM实战

| mcdf_v2

| | formater.v 8.11kb

| | mcdf.v 9.42kb

| | param_def.v 0.36kb

| | reg_if.v 8.77kb

| | RR_arbiter.v 6.68kb

| | slave_node.v 3.13kb

| | sync_dff_fifo.v 2.91kb

| uvm_advanced_lab1

| | apb_pkg_origin

| | apb_pkg_ref

| | template_pkg

| uvm_advanced_lab2

| | apb3_pkg_ref

| | apb_pkg_lab

| | apb_pkg_ref

| uvm_advanced_lab3

| | apb_pkg

| | chnl_pkg.sv 7.66kb

| | chnl_pkg_ref.sv 7.79kb

| | fmt_pkg.sv 8.06kb

| | fmt_pkg_ref.sv 8.22kb

| | mcdf_pkg.sv 20.83kb

| | mcdf_pkg_ref.sv 21.33kb

| | mcdf_regs_v1.csv 0.88kb

| | mcdf_regs_v2.csv 1.44kb

| | mcdf_rgm_pkg.sv 20.64kb

| | rkvGenRgm.py 6.89kb

| | tb.sv 7.76kb

| uvm_advanced_lab4

| | apb_pkg

| | chnl_pkg.sv 8.25kb

| | dpi.c 1.64kb

| | dpi_ref.c 2.29kb

| | fmt_pkg.sv 8.30kb

| | mcdf_pkg.sv 32.65kb

| | mcdf_pkg_ref.sv 33.49kb

| | mcdf_rgm_pkg.sv 21.03kb

| | mcdf_rgm_pkg_ref.sv 21.07kb

| | questasim-gcc-4.5.0-w64vc12.zip 42.76M

| | SV与C联步骤.doc 58.00kb

| | tb.sv 7.65kb

| python-3.7.0-amd64.exe 25.05M

V2实验工具安装包

| Questa RUVM安装包

| | Questa RUVM安装说明.docx 189.33kb

| | RUVM_4.9.zip 257.36M

| V2.3 DPI-C使用说明

| | gcc安装及DPI-C联调说明.doc 475.50kb

| | questasim-gcc-4.5.0-w64vc12.zip 42.76M

| Git-2.26.2-64-bit.zip 44.58M

| gvim_plugins.tar 2.21M

| python-3.7.0-amd64.exe 25.05M

| Qsim10.6c-win32安装包.zip 747.03M

| Qsim10.6c-win64安装包.zip 752.27M

| Qsim工具使用文档.zip 18.01M

| strawberry-perl-5.30.2.1-64bit.rar 98.56M

vivado

| vivado安装包

| | 视频教程

| | nXViSk17412161.part01.rar 400.00M

| | nXViSk17412161.part02.rar 400.00M

| | nXViSk17412161.part03.rar 400.00M

| | nXViSk17412161.part04.rar 400.00M

| | nXViSk17412161.part05.rar 400.00M

| | nXViSk17412161.part06.rar 400.00M

| | nXViSk17412161.part07.rar 400.00M

| | nXViSk17412161.part08.rar 400.00M

| | nXViSk17412161.part09.rar 400.00M

| | nXViSk17412161.part10.rar 400.00M

| | nXViSk17412161.part11.rar 400.00M

| | nXViSk17412161.part12.rar 400.00M

| | nXViSk17412161.part13.rar 400.00M

| | nXViSk17412161.part14.rar 400.00M

| | nXViSk17412161.part15.rar 400.00M

| | nXViSk17412161.part16.rar 400.00M

| | nXViSk17412161.part17.rar 400.00M

| | nXViSk17412161.part18.rar 400.00M

| | nXViSk17412161.part19.rar 400.00M

| | nXViSk17412161.part20.rar 400.00M

| | nXViSk17412161.part21.rar 400.00M

| | nXViSk17412161.part22.rar 400.00M

| | nXViSk17412161.part23.rar 400.00M

| | nXViSk17412161.part24.rar 400.00M

| | nXViSk17412161.part25.rar 400.00M

| | nXViSk17412161.part26.rar 400.00M

| | nXViSk17412161.part27.rar 400.00M

| | nXViSk17412161.part28.rar 400.00M

| | nXViSk17412161.part29.rar 400.00M

| | nXViSk17412161.part30.rar 400.00M

| | nXViSk17412161.part31.rar 400.00M

| | nXViSk17412161.part32.rar 400.00M

| | nXViSk17412161.part33.rar 400.00M

| | nXViSk17412161.part34.rar 400.00M

| | nXViSk17412161.part35.rar 400.00M

| | nXViSk17412161.part36.rar 400.00M

| | nXViSk17412161.part37.rar 400.00M

| | nXViSk17412161.part38.rar 400.00M

| | nXViSk17412161.part39.rar 400.00M

| | nXViSk17412161.part40.rar 400.00M

| | nXViSk17412161.part41.rar 400.00M

| | nXViSk17412161.part42.rar 400.00M

| | nXViSk17412161.part43.rar 400.00M

| | nXViSk17412161.part44.rar 400.00M

| | nXViSk17412161.part45.rar 134.82M

答疑更新

| 答疑更新

| | 直播答疑-10.mp4 813.11M

| | 直播答疑-11.mp4 737.64M

| | 直播答疑-12.mp4 490.93M

| | 直播答疑-13.mp4 514.47M

| | 直播答疑-14.mp4 584.06M

| | 直播答疑-15.mp4 286.97M

| | 直播答疑-3.mp4 595.86M

| | 直播答疑-4.mp4 956.24M

| | 直播答疑-5.mp4 817.37M

| | 直播答疑-6.mp4 740.57M

| | 直播答疑-7.mp4 767.50M

| | 直播答疑-8.mp4 724.63M

| | 直播答疑-9.mp4 654.22M

| V2春季就业班.jpg 68.30kb

| 芯片验证漫游指南附代码.zip 199.44kb

第二部分

| 051-随机约束和分布2.mp4 28.03M

| 052-约束块控制.mp4 42.21M

| 053-数组约束.mp4 109.88M

| 054-随机控制.mp4 28.09M

| 055-实验3代码讲解1.mp4 264.94M

| 056-实验3代码讲解2.mp4 232.37M

| 057-实验3代码讲解3.mp4 335.48M

| 058-实验3代码讲解4.mp4 163.88M

| 059-(第9节)随机函数.mp4 54.11M

| 060-验证的方法1.mp4 109.88M

| 061-验证的方法2.mp4 163.66M

| 062-验证的方法3.mp4 72.72M

| 063-验证的方法4.mp4 32.56M

| 064-验证的方法5.mp4 40.40M

| 065-UVM简介.mp4 69.22M

| 066-UVM组件和环境.mp4 55.56M

| 067-线程的使用.mp4 35.80M

| 069-线程的控制.mp4 69.72M

| 070-线程间的通信1.mp4 62.29M

| 071-线程间的通信2.mp4 73.86M

| 072-线程间的通信3.mp4 91.93M

| 073-实验4代码讲解1.mp4 232.50M

| 074-实验4代码讲解2.mp4 119.98M

| 075-实验4代码讲解3.mp4 208.15M

| 076-实验4代码讲解4.mp4 223.47M

| 077-实验4代码讲解5.mp4 158.33M

| 078-覆盖率类型.mp4 110.21M

| 079-功能覆盖策略.mp4 45.80M

| 080-覆盖组.mp4 39.74M

| 081-数据采样1.mp4 66.48M

| 082-数据采样2.mp4 74.26M

| 083-覆盖选项.mp4 18.18M

| 084-数据分析.mp4 13.00M

| 085-类型转换.mp4 59.59M

| 086-虚方法.mp4 361.89M

| 087-对象拷贝.mp4 68.68M

| 088-回调函数.mp4 67.01M

| 089-参数化的类.mp4 41.00M

| 090-实验5代码讲解1.mp4 247.90M

| 091-实验5代码讲解2.mp4 318.88M

| 092-验证方法学概述.mp4 97.21M

| 093-类库地图.mp4 74.31M

| 094-工厂机制1.mp4 108.17M

| 095-工厂机制2.mp4 95.31M

| 096-覆盖方法.mp4 181.11M

| 097-核心基类.mp4 146.08M

| 098-phase机制.mp4 123.63M

| 099-config机制.mp4 118.05M

| 100-消息管理.mp4 81.87M

第三部分

| 101-UVM入门实验0讲解.mp4 281.33M

| 102-UVM入门实验1讲解1.mp4 224.99M

| 103-UVM入门实验1讲解2.mp4 130.74M

| 104-组件家族.mp4 59.20M

| 105-monitor.mp4 78.82M

| 106-agent.mp4 62.89M

| 107-scoreboard.mp4 66.47M

| 108-test.mp4 83.08M

| 109-UVM结构回顾.mp4 64.14M

| 110-MCDF顶层验证方案.mp4 131.87M

| 111-构建验证环境的内经.mp4 114.97M

| 112-UVM入门实验2代码讲解1.mp4 398.10M

| 113-TLM通信.mp4 152.53M

| 114-单向通信.mp4 72.80M

| 115-双向通信.mp4 31.71M

| 116-多向通信.mp4 60.54M

| 117-通信管道.mp4 129.48M

| 118-TLM2通信.mp4 107.64M

| 119-同步通信元件1.mp4 89.94M

| 120-同步通信元件2.mp4 37.22M

| 121-同步通信元件3.mp4 78.30M

| 122-UVM入门实验3代码讲解.mp4 454.63M

| 123-新手上路.mp4 136.14M

| 124-Sequence和Item.mp4 140.85M

| 125-Sequencer和Driver1.mp4 192.97M

| 126-Sequencer和Driver2.mp4 60.58M

| 127-Sequencer和Sequence1.mp4 104.43M

| 128-Sequencer和Sequence2.mp4 68.39M

| 129-Sequencer和Sequence3.mp4 122.97M

| 130-Sequence的层次化1.mp4 102.79M

| 131-Sequence的层次化2.mp4 92.17M

| 132-UVM入门实验4代码讲解.mp4 329.15M

| 133-寄存器模型概览.mp4 206.56M

| 134-寄存器模型集成1.mp4 162.52M

| 135-寄存器模型集成2.mp4 110.65M

| 136-寄存器模型的常规方法1.mp4 133.71M

| 137-寄存器模型的常规方法2.mp4 135.83M

| 138-寄存器模型的应用场景.mp4 150.72M

| 139-UVM入门实验5代码讲解.mp4 265.97M

| 140-MCDF设计更新.mp4 69.68M

| 141-AMBA标准接口.mp4 64.56M

| 142-UVM验证环境更新策略.mp4 23.98M

| 143-验证IP模板1.mp4 115.48M

| 144-验证IP模板2.mp4 56.43M

| 145-VIP的开发.mp4 70.70M

| 146-VIP的发布.mp4 21.43M

| 147-UVM实战1实战代码讲解1.mp4 134.43M

| 148-UVM实战1实战代码讲解2.mp4 342.62M

| 149-Assertion介绍1.mp4 76.94M

| 150-Assertion介绍2.mp4 23.59M

| 151-Sequence定义1.mp4 92.31M

| 152-Sequence定义2.mp4 75.60M

| 153-Sequence定义3.mp4 44.32M

| 154-Property使用.mp4 86.65M

| 155-Assertion检查及覆盖率应用.mp4 48.06M

| 156-UVM实战实验2代码讲解.mp4 331.64M

| 157-设计描述信息的标准化.mp4 50.48M

| 158-寄存器描述文件.mp4 56.90M

| 159-UVM寄存器模型的自动化.mp4 278.72M

| 160-Channel组件的更新.mp4 143.19M

| 161-Formatter组件的更新.mp4 116.45M

| 162-环境复用的评估.mp4 190.21M

| 163-序列复用的评估.mp4 157.00M

| 164-SV DPI接口1.mp4 62.30M

| 165-SV DPI接口2.mp4 61.68M

| 166-SV DPI接口3.mp4 69.38M

| 167-虚拟处理器的实现1.mp4 132.36M

| 168-虚拟处理器的实现2.mp4 131.53M

| 169-UVM的C测试环境.mp4 223.09M

| 170-寄存器模型的深度应用.mp4 159.56M

| 171-寄存器覆盖率.mp4 177.18M

| 172-总线解析.mp4 225.10M

| 173-性能分析.mp4 72.06M

第一部分

| 001-课程介绍 .mp4 140.10M

| 002-开学典礼 .mp4 174.27M

| 003-芯片开发概述1 .mp4 104.95M

| 004-芯片开发概述2 .mp4 72.06M

| 005-芯片验证的职业前景 .mp4 181.71M

| 006-验证的任务和目标 .mp4 73.10M

| 007-验证的周期 .mp4 186.22M

| 008-数据类型1 .mp4 109.85M

| 009-数据类型2 .mp4 58.75M

| 010-数据类型3 .mp4 132.23M

| 011-过程块和方法 .mp4 105.70M

| 012-设计例化和连接 .mp4 26.35M

| 013-Questasim基本使用 .mp4 303.38M

| 014-直播答疑-1 .mp4 308.14M

| 015-测试平台 .mp4 27.27M

| 016-硬件设计功能描述1 .mp4 56.62M

| 017-硬件设计功能描述2 .mp4 33.25M

| 018-激励发生器 .mp4 51.78M

| 019-监测器 .mp4 58.81M

| 020-比较器 .mp4 59.75M

| 021-验证结构 .mp4 59.69M

| 022-接口interface .mp4 58.93M

| 023-采样和数据驱动1 .mp4 98.17M

| 024-采样和数据驱动2 .mp4 64.28M

| 025-测试的开始和结束 .mp4 89.48M

| 026-调试方法 .mp4 89.68M

| 027-课间练习代码及调试操作 .mp4 109.30M

| 028-实验1验证结构 .mp4 45.90M

| 029-实验1代码讲解 .mp4 176.14M

| 030-直播答疑-2 .mp4 286.51M

| 031-验证计划概述 .mp4 57.57M

| 032-验证计划的内容 .mp4 110.99M

| 033-验证计划的实现 .mp4 72.72M

| 034-验证计划的进程评估 .mp4 72.40M

| 035-类和对象的概述1 .mp4 96.82M

| 036-类和对象的概述2 .mp4 59.71M

| 037-类的成员 .mp4 75.09M

| 038-类的继承 .mp4 92.16M

| 039-句柄的使用 .mp4 85.07M

| 040-包的使用 .mp4 75.02M

| 041-实验2验证结构 .mp4 122.14M

| 042-实验2代码讲解 .mp4 418.94M

| 043-直播答疑-3 .mp4 408.44M

| 044-验证的周期 .mp4 95.58M

| 045-验证管理的三要素 .mp4 351.20M

| 046-验证的收敛 .mp4 80.23M

| 047-问题追踪 .mp4 35.57M

| 048-团队建设 .mp4 80.67M

| 049-验证的专业化 .mp4 49.67M

| 050-随机约束和分布1 .mp4 102.18M

讲义

| 01-课程介绍.pptx 12.43M

| 02-SV通识一.pptx 29.09M

| 03-SV语言一.pptx 18.78M

| 04-SV通识二.pptx 19.12M

| 05-SV语言二.pptx 26.75M

| 06-SV通识三.pptx 17.00M

| 07-SV语言三.pptx 25.16M

| 08-SV通识四.pptx 32.65M

| 09-SV语言四.pptx 14.35M

| 10-SV通识五.pptx 17.48M

| 11-SV语言五.pptx 22.62M

| 12-SV语言六.pptx 17.87M

| 13-SV语言七.pptx 14.44M

| 14-UVM入门和进阶1.pptx 20.10M

| 15-UVM入门进阶2.pptx 32.69M

| 16-UVM入门进阶3.pptx 11.81M

| 17-UVM入门进阶4.pptx 14.23M

| 18-UVM入门进阶5.pptx 25.62M

| 19-UVM入门进阶6.pptx 19.59M

| 20-UVM入门进阶7.pptx 23.46M

| 21-UVM入门进阶8.pptx 32.69M

| 22-UVM入门进阶9.pptx 22.08M

| 23-UVM入门进阶10.pptx 22.65M

| 24-UVM项目实战1.pptx 10.29M

| 25-UVM项目实战2.pptx 7.53M

| 26-UVM项目实战3.pptx 19.05M

| 27-UVM项目实战4.pptx 9.39M

| 28-UVM项目实战5.pptx 8.26M

| 29-UVM项目实战6.pptx 5.25M

| 30-UVM项目实战7.pptx 26.11M

| 31-UVM项目实战8.pptx 5.35M

路科验证_V0课程资料

| 把大象装进冰箱系列-SV实验

| | sv_labs

| | SystemVerilog Testbench Lab Guide.pdf 24.07M

| 参考文档

| | IEEE_1800-2012_SystemVerilog.pdf 7.25M

| | questasim_10.4_docs.zip 18.01M

文档

| 实验指导文档

| | 密码:weixinjszdk1

| | UVM实验0.pdf 491.07kb

| | UVM实验1.pdf 317.22kb

| | UVM实验2.pdf 332.88kb

| | UVM实验3.pdf 295.40kb

| | UVM实验4.pdf 209.26kb

| | UVM实验5.pdf 202.76kb

| | 实验0.pdf 325.14kb

| | 实验1.pdf 184.74kb

| | 实验2.pdf 178.37kb

| | 实验3.pdf 284.30kb

| | 实验4.pdf 376.98kb

| | 实验5.pdf 913.41kb

| IEEE_1800-2012_SystemVerilog.pdf 7.25M

| questasim_10.4_docs.zip 18.01M

| uvm-cookbook-complete-verification-academy.pdf 6.22M

| uvm_users_guide_1.1.pdf 1.20M

UVM实战_example_and_uvm_source_code.tar.gz 3.29M

[路科验证]IC验证电子书合集_2019.zip 83.63M

芯片验证漫游指南.pdf 96.47M

评论0

  • 昵称 (必填)
  • 邮箱 (必填)
  • 网址